设为首页 加入收藏

TOP

FPGA静态时序分析——IO口时序(Input Delay /output Delay)(转载)(一)
2017-10-10 12:22:52 】 浏览:7767
Tags:FPGA 静态 时序 分析 Input Delay /output 转载

转载地址:http://www.cnblogs.com/linjie-swust/archive/2012/03/01/FPGA.html

1.1  概述

  在高速系统中FPGA时序约束不止包括内部时钟约束,还应包括完整的IO时序约束和时序例外约束才能实现PCB板级的时序收敛。因此,FPGA时序约束中IO口时序约束也是一个重点。只有约束正确才能在高速情况下保证FPGA和外部器件通信正确。

1.2  FPGA整体概念

  由于IO口时序约束分析是针对于电路板整个系统进行时序分析,所以FPGA需要作为一个整体分析,其中包括FPGA的建立时间、保持时间以及传输延时。传统的建立时间、保持时间以及传输延时都是针对寄存器形式的分析。但是针对整个系统FPGA的建立时间保持时间可以简化。

 

  图1.1  FPGA整体时序图

  如图1.1所示,为分解的FPGA内部寄存器的性能参数:

  (1) Tdin为从FPGA的IO口到FPGA内部寄存器输入端的延时;

  (2) Tclk为从FPGA的IO口到FPGA内部寄存器时钟端的延时;

  (3) Tus/Th为FPGA内部寄存器的建立时间和保持时间;

  (4) Tco为FPGA内部寄存器传输时间;

  (5) Tout为从FPGA寄存器输出到IO口输出的延时;

  对于整个FPGA系统分析,可以重新定义这些参数:FPGA建立时间可以定义为:

  (1) FPGA建立时间:FTsu = Tdin + Tsu – Tclk;

  (2) FPGA保持时间:FTh = Th + Tclk;

  (3) FPGA数据传输时间:FTco = Tclk + Tco + Tout;

  由上分析当FPGA成为一个系统后即可进行IO时序分析了。FPGA模型变为如图1.2所示。

 

图1.2  FPGA系统参数

1.3  输入最大最小延时

  外部器件发送数据到FPGA系统模型如图1.3所示。对FPGA的IO口进行输入最大最小延时约束是为了让FPGA设计工具能够尽可能的优化从输入端口到第一级寄存器之间的路径延迟,使其能够保证系统时钟可靠的采到从外部芯片到FPGA的信号。

图1.3  FPGA数据输入模型

  输入延时即为从外部器件发出数据到FPGA输入端口的延时时间。其中包括时钟源到FPGA延时和到外部器件延时之差、经过外部器件的数据发送Tco,再加上PCB板上的走线延时。如图1.4所示,为外部器件和FPGA接口时序。

 

图1.4  外部器件和FPGA接口时序

1.         最大输入延时

  最大输入延时(input delay max)为当从数据发送时钟沿(lanuch edge)经过最大外部器件时钟偏斜(Tclk1),最大的器件数据输出延时(Tco),再加上最大的PCB走线延时(Tpcb),减去最小的FPGA时钟偏移(FTsu)的情况下还能保证时序满足的延时。这样才能保证FPGA的建立时间,准确采集到本次数据值,即为setup slack必须为正,如图1.1的所示,计算公式如下式所示:

    Setup slack =(Tclk + Tclk2(min))–(Tclk1(max) +Tco(max) +Tpcb(max) +FTsu)≥0

  推出如下公式:

    Tclk1(max) + Tco(max) + Tpcb(max) –Tclk2(min) ≤ Tclk + FTsu         

  由Altera官方数据手册得知:

    input delay max = Board Delay (max) – Board clock skew (min) + Tco(max)     

  结合本系统参数公式为:

    input delay max = Tpcb(max) – (Tclk2(min)–Tclk1(max)) + Tco(max)         

2.         最小输入延时

  最小输入延时(input delay min)为当从数据发送时钟沿(lanuch edge)经过最小外部器件时钟偏斜(Tclk1),最小器件数据输出延时(Tco),再加上最小PCB走线延时(Tpcb),此时的时间总延时值一定要大于FPGA的最大时钟延时和建立时间之和,这样才能不破坏FPGA上一次数据的保持时间,即为hold slack必须为正,如图1.1的所示,计算公式如下式所示:

    Hold slack = (Tclk1(min) + Tco(min) + Tpcb(min))–(FTh + Tclk2(max))≥ 0  

  推出如下公式:

    Tclk1(min) + Tco(min) + Tpcb(min) – Tclk2(max) ≥ FTh                               

  由Altera官方数据手册得知:

    input delay max = Board Delay (min) - Board clock skew (min) + Tco(min)        

  结合本系统参数公式为

    input delay max = Tpcb(min) – (Tclk2(max)–Tclk1(min)) + Tco(min)                  

  由公式4和公式8得知,进行输入最大最小延时的计算,我们需要估算4个值:

  (1) 外部器件输出数据通过PCB板到达FPGA端口的最大值和最小值Tpcb,PCB延时经验值为600mil/ns,1mm = 39.37mil;

  (2) 外部器件接收到时钟信号后输出数据延时的最大值和最小值Tco;

  (3) 时钟源到达外部器件的最大、最小时钟偏斜Tclk1;

  (4) 时钟源到达FPGA的最大、最小时钟偏斜Tclk2;

  当外部器件时钟为FPGA提供的时候,Tclk1和Tclk2即合成Tshew,如图1.5所示:

&nbs

首页 上一页 1 2 3 下一页 尾页 1/3/3
】【打印繁体】【投稿】【收藏】 【推荐】【举报】【评论】 【关闭】 【返回顶部
上一篇USB2.0学习笔记连载(十七):kei.. 下一篇USB学习笔记连载(十六):USB数..

最新文章

热门文章

Hot 文章

Python

C 语言

C++基础

大数据基础

linux编程基础

C/C++面试题目