设为首页 加入收藏

TOP

实测—fft IP核使用(包括ifft的配置使用)(七)
2019-08-24 00:06:31 】 浏览:399
Tags:实测 fft 使用 包括 ifft 配置
; op_frame=0;

 

integer i;

reg[11:0] cnt;

 

// generate clk

    always #5 aclk =! aclk;

 

ifft_0  ifft_m0 (

      .aclk(aclk),                                                // input wire aclk

     // .aresetn(aresetn),

      .s_axis_config_tdata(s_axis_config_tdata),                  // input wire [7 : 0] s_axis_config_tdata

      .s_axis_config_tvalid(s_axis_config_tvalid),                // input wire s_axis_config_tvalid

      .s_axis_config_tready(s_axis_config_tready),                // output wire s_axis_config_tready

      .s_axis_data_tdata(s_axis_data_tdata),                      // input wire [47 : 0] s_axis_data_tdata

      .s_axis_data_tvalid(s_axis_data_tvalid),                    // input wire s_axis_data_tvalid

      .s_axis_data_tready(s_axis_data_tready),                    // output wire s_axis_data_tready

      .s_axis_data_tlast(s_axis_data_tlast),                      // input wire s_axis_data_tlast

      .m_axis_data_tdata(m_axis_data_tdata),                      // output wire [47 : 0] m_axis_data_tdata

      .m_axis_data_tvalid(m_axis_data_tvalid),                    // output wire m_axis_data_tvalid

      .m_axis_data_tready(m_axis_data_tready),                    // input wire m_axis_data_tready

      .m_axis_data_tlast(m_axis_data_tlast),                      // output wire m_axis_data_tlast

    &nb

首页 上一页 4 5 6 7 8 9 下一页 尾页 7/9/9
】【打印繁体】【投稿】【收藏】 【推荐】【举报】【评论】 【关闭】 【返回顶部
上一篇你真的会Xilinx FPGA的复位吗? 下一篇一个有趣的异步时序逻辑电路设计..

最新文章

热门文章

Hot 文章

Python

C 语言

C++基础

大数据基础

linux编程基础

C/C++面试题目