设为首页 加入收藏

TOP

verilog 实现中值滤波(一)
2017-10-10 12:21:20 】 浏览:2555
Tags:verilog 实现 滤波
图像信号在形成、传输和记录的过程中,由于成像系统、 传输介质、工作环境和记录设备等的固有缺陷,不可避免地产 生各种类型的噪声,降低了图像的质量,进而影响后续处理( 如边缘检测、图像分割、特征提取、模式识别等)的效果或 准确性。因此,对噪声图像进行滤波是必要预处理过程。但滤 波算法在去除噪声的同时难免对图像造成一定程度的模糊,造 成细节信息的丢失。
中值滤波是对一个滑动窗口内的诸像素灰度值排序,用其中值代替窗口中心象素的原来灰度值,它是一种非线性的图像平滑法,它对脉冲干扰级椒盐噪声的抑制效果好,在抑制随机噪声的同时能有效保护边缘少受模糊。

中值滤波

将 3*3 滑动块中的灰度值进行排序,然后用排序的中间值取代 3*3 滑块中心的值。示意图如下图所示。

 


 

实现原理(这是文献中的,理解起来比较复杂,最近我又学到一种更加简单快捷的方法,补充在最后)

通过如下图所示的6级比较电路路输出中值,其输人数据为图1所示的滤波掩膜所在的图像数据。第一级比较电路由3个三输入比较器C组成,每个比较器的输出数据依序排列(参见图示)。将3组比较结果中最小的3个数放在一起、中间的3个数放在一起、最大的3个数放在一起,参加第二级比较。第二级比较电路的原理与第一级类似,输出out1和out9,分别是输入数据中的最大值和最小值,这2个数据将被舍去不参加下一级比较。参加第三级比较的有7个数据,其原理类似于前两级比较电路,输出out2和out8分别是该7个数据的最大值和最小值,并且被舍去,仅留下5个数据参加第四级比较。剩下的几级比较电路以类似于先前的原理进行比较。如此,经过6级比较后即可得到9个数据的标准中值滤波输出值out5,而outl,out2,?,out9分别是这9个数据从最大到最小的顺序排列值。此外。为了保证流水线操作过程中数据的同步性,在第三级和第五级比较电路中需要插入数据寄存器R缓存当前该级中不参与比较的数据。

 

 

 


说明:中值滤波也是基于3*3的像素矩阵,有关3*3矩阵的实现,在上一篇文章中详细讲过链接如下:http://www.cnblogs.com/aslmer/p/5779079.html

此原理多次用到比较器,我将比较器分为两类,第一类:三个数进行比较,输出max、med、min。第二类:两个数进行比较,输出max、min。

第一类比较器的实现

module compare_1(
             data_a    ,
             data_b    ,
             data_c    ,
             data_max  ,
             data_min  ,
             data_med
             );

input    [5:0]   data_a  ;
input    [5:0]   data_b  ;
input    [5:0]   data_c  ;

output   [5:0]   data_max;
output   [5:0]   data_min;
output   [5:0]   data_med;

wire     [5:0]   data_max;
wire     [5:0]   data_min;
wire     [5:0]   data_med;

wire [5:0] a,b,c;//a,b,c代替三个输入,方便代码书写
assign a=data_a;
assign b=data_b;
assign c=data_c;

assign data_med =  (a<b)?(b<c)?b:(a>c)?a:c : (b>c)?b:(a<c)?a:c;
assign data_min =  (a<b)?(a<c)?a:c  :  (b>c)?c:b    ;
assign data_max =  (a>b)?(a>c)?a:c :  (b>c)?b:c    ;

endmodule

第二类比较器就是比较两个数大小,非常简单。

module compare_2 (
           dat_1   ,
           dat_2   ,
           dat_max ,
           dat_min
);
 
input   [5:0] dat_1  ;
input   [5:0] dat_2  ;
output  [5:0] dat_max;
output  [5:0] dat_min;

wire   [5:0] dat_max;
wire   [5:0] dat_min;

assign dat_max=(dat_1>dat_2)? dat_1:dat_2;
assign dat_min=(dat_1>dat_2)? dat_2:dat_1;

endmodule

然后不断调用一类和二类比较器,实现六级比较电路

//---------------------------------------------------------------------- 第一阶段
// 第一阶段  max11 第一阶段1



          compare u11_compare(

             .data_a   (p_11) ,  // 3*3矩阵第一行第一个数
             .data_b   (p_12) ,  //  第二个
             .data_c   (p_13),  // 第三个
             .data_max  (max11),
             .data_min  (min11),
             .data_med (med11)

             );
  //求第二行的最大值,最小值,中间值
compare  u12_compare(         
          .data_a  (p_21), //3*3矩阵第二行
          .data_b  (p_22),//
          .data_c  (p_23),//
          .data_max(max12),
          .data_min(min12),
          .data_med(med12));

 //求第三行的最大值,最小值,中间值
compare  u13_compare(          
          .data_a  (p_31)  ,  //3*3矩阵第三行
          .data_b  (p_32)  ,//
          .data_c  (p_33)  ,//
          .data_max(max13) ,
          .data_min(min13) ,
          .data_med(med13)
          );

always  @(posedge clk or negedge rst_n)begin
    if(rst_n==1'b0)begin
         max_p1_1<=0;  //第一行的最大数
         max_p1_2<=0; //            中间数
         max_p1_3<=0; //            最小数       
         min_p1_1<=0;  //第二行的最大数
         min_p1_2<=0;  //            中间数
         min_p1_3<=0;  //            最小数  
         med_p1_1<=0; //第三行
         med_p1_2<=0;
         med_p1_3<=0;
    end
    else if(per_href_ff1==1&&flag_do==1)begin
         max_p1_1<=max11;
         max_p1_2<=max12;
         max_p1_3<=max13;
         min_p1_1<=min11;
         min_p1_2<=min12;
         min_p1_3<=min13;
         med_p1_1<=med11;
         med_p1_2<=med12;
         med_p1_3<=med13;
    e
首页 上一页 1 2 3 下一页 尾页 1/3/3
】【打印繁体】【投稿】【收藏】 【推荐】【举报】【评论】 【关闭】 【返回顶部
上一篇ip 核生成 rom 及questasim仿真时.. 下一篇基于 FPGA 的图像边缘检测

最新文章

热门文章

Hot 文章

Python

C 语言

C++基础

大数据基础

linux编程基础

C/C++面试题目