{"rsdb":{"rid":"318993","subhead":"","postdate":"0","aid":"230565","fid":"92","uid":"1","topic":"1","content":"
\n

       \u5728\u9879\u76ee\u8bbe\u8ba1\u4e2d\uff0c\u7ecf\u5e38\u9700\u8981\u663e\u793a\u4e00\u4e9b\u6570\u503c\uff0c\u6bd4\u5982\u6e29\u6e7f\u5ea6\uff0c\u65f6\u95f4\u7b49\u7b49\u3002\u5728\u6570\u5b57\u7535\u8def\u4e2d\u6570\u636e\u90fd\u662f\u7528\u4e8c\u8fdb\u5236\u7684\u5f62\u5f0f\u5b58\u50a8\uff0c\u8981\u60f3\u663e\u793a\u5c31\u9700\u8981\u8fdb\u884c\u8f6c\u6362\uff0c\u5bf9\u4e8e\u4e00\u4e2a\u4e24\u4f4d\u7684\u6570\u503c\uff0c\u5bf910\u53d6\u9664\u53ef\u4ee5\u5f97\u5230\u5176\u5341\u4f4d\u7684\u6570\u503c\uff0c\u5bf910\u53d6\u4f59\u53ef\u4ee5\u5f97\u5230\u4e2a\u4f4d\u7684\u6570\u503c\u3002\u5bf9\u4e8eVerilog\u6765\u8bf4\u5b83\u7684\u6807\u51c6\u662f\u652f\u6301\u9664\u6cd5\u548c\u53d6\u4f59\u8fd0\u7b97\u7684\uff0c\u7efc\u5408\u5668\u4e5f\u4f1a\u6709IP\u53ef\u4ee5\u8fdb\u884c\u9664\u6cd5\u8fd0\u7b97\u3002\u4f46\u662f\u8fd9\u6837\u672a\u514d\u4f1a\u8017\u8d39\u592a\u591a\u8d44\u6e90\uff0c\u4f7f\u7528\u79fb\u4f4d\u52a03\u7b97\u6cd5\u5c31\u53ef\u4ee5\u5b9e\u73b0\u4e8c\u8fdb\u5236\u5230BCD\u7801\u4e4b\u95f4\u7684\u8f6c\u6362\u3002<\/p> \n

       BCD\u7801\uff08Binary-Coded Decimal?\uff09\u4ea6\u79f0\u4e8c\u8fdb\u7801\u5341\u8fdb\u6570\u6216\u4e8c-\u5341\u8fdb\u5236\u4ee3\u7801\u3002\u75284\u4f4d\u4e8c\u8fdb\u5236\u6570\u6765\u8868\u793a1\u4f4d\u5341\u8fdb\u5236\u6570\u4e2d\u76840~9\u8fd910\u4e2a\u6570\u7801\u3002<\/p> \n

       \u79fb\u4f4d\u52a03\u7b97\u6cd5\u7b80\u5355\u6765\u8bf4\u5c31\u662f\uff0c\u6709\u591a\u5c11\u4f4d\u4e8c\u8fdb\u5236\u8bf4\uff0c\u5c31\u8fdb\u884c\u591a\u5c11\u6b21\u79fb\u4f4d\uff0c\u4ee5\u516b\u4f4d\u7684\u4e8c\u8fdb\u5236\u4e3a\u4f8b\uff0c\u5176\u6570\u503c\u6700\u9ad8\u53ef\u4e3a\u4e09\u4f4d\u5341\u8fdb\u5236\u6570\uff0c\u8fdb\u884c\u5982\u4e0b\u8868\u5de6\u79fb\uff0c\u5728\u79fb\u4f4d\u7684\u8fc7\u7a0b\u4e2d\uff0c\u5982\u679c\u79fb\u4f4d\u51fa\u7684\u6570\u503c\u5927\u4e8e4\uff0c\u5219\u5c06\u6539\u4e3a\u7684\u6570\u503c\u52a03\u540e\u518d\u8fdb\u884c\u79fb\u4f4d\u3002<\/p> \n

\"\"<\/p> \n

\u8fd9\u91cc\u4e3a\u4ec0\u4e48\u5927\u4e8e\u56db\uff0cBCD\u7801\u662f\u56db\u4f4d\u4e8c\u8fdb\u5236\u6570\u8868\u793a\u4e00\u4e2a\u5341\u8fdb\u5236\u6570\u7684\u4e00\u4f4d\uff0c\u5982\u679c\u8fd9\u4ee5\u4e3a\u5927\u4e8e4\uff0c\u6bd4\u59825\uff0c4\u2019b0101\uff0c\u4e0b\u4e00\u6b21\u79fb\u4f4d\u540e\u53d8\u6210\u4e864\u2019b1010\uff0cBCD\u7801\u4e2d\u662f\u6ca1\u67094\u2019b1010\u7684\uff0c\u6240\u4ee5\u8981\u52a06\uff0c\u5411\u9ad8\u4f4d\u8fdb\u4f4d\u3002\u8fd9\u91cc\u5c31\u662f\u79fb\u4f4d\u540e\u52a06\u548c\u79fb\u4f4d\u524d\u52a03\uff0c\u4e24\u79cd\u65b9\u6cd5\u4fee\u6b63\uff0c\u6211\u8fd9\u91cc\u9009\u62e9\u4e86\u79fb\u4f4d\u524d\u52a03\u3002\uff084\u2019b0011\u5de6\u79fb\u540e\u4e5f\u662f4\u2019b0110\uff0c\u79fb\u4f4d\u524d\u548c\u79fb\u4f4d\u540e\u90fd\u662f\u4e00\u6837\u7684\u5bf9BCD\u7801\u7684\u4f4d\u6570\u8fdb\u884c\u4fee\u6b63\uff09\u3002<\/p> \n

\u4e3a\u4ec0\u4e48\u7528\u5de6\u79fb\u7684\u65b9\u6cd5\u5462\uff1f\u8fd9\u662f\u56e0\u4e3a\u4e8c\u8fdb\u5236\u6570\u548c\u5341\u8fdb\u5236\u6570\u4e4b\u95f4\u7684\u4f4d\u6743\u7684\u5173\u7cfb\u3002\u6240\u4ee5\u4e8c\u8fdb\u6570\u548c\u5341\u8fdb\u5236\u6570\u4e4b\u95f4\u7684\u8f6c\u5316\u662f\u4e58\u4ee52\uff0c\u4e5f\u5c31\u662f\u5de6\u79fb\u4e00\u4f4d\u3002\u8f6c\u6362\u516c\u5f0f\u5927\u6982\u5c31\u662f\u8fd9\u4e2a\u6837\u5b50\u3002<\/p> \n

\"\"<\/p> \n

\u516c\u5f0f\u7f16\u8f91\u91c7\u7528Markdown\u7f16\u8f91\u5668Typora\u5b8c\u6210\uff0cTypora\u652f\u6301LaTex\u8bed\u6cd5\uff0c\u7f16\u5199\u516c\u5f0f\u771f\u662f\u723d\u3002<\/p> \n

       \u4ee3\u7801\u5b9e\u73b0\u8d77\u6765\u4e0d\u662f\u5f88\u590d\u6742\uff0c\u535a\u4e3b\u5728\u7f51\u4e0a\u641c\u7d22\u5230\u6709\u4e9b\u4ee3\u7801\u4f7f\u7528\u7eaf\u7ec4\u5408\u903b\u8f91\u5b9e\u73b0\u7684\uff0c\u7528\u4e86\u4e00\u4e2afor\u5faa\u73af\uff0c\u6211\u4e2a\u4eba\u8ba4\u4e3a\u8fd9\u79cd\u5199\u6cd5\u4e0d\u662f\u5f88\u597d\uff0c\u6240\u4ee5\u81ea\u5df1\u7528\u72b6\u6001\u673a\u5199\u4e86\u4e00\u4e2a\u3002\u6a21\u5757\u8bbe\u8ba1\u5982\u4e0b\uff0ctran_en\u662f\u8f6c\u6362\u4f7f\u80fd\u4fe1\u53f7\uff0c\u53ef\u4ee5\u4f7f\u7535\u5e73\u4f7f\u80fd\u4e5f\u53ef\u4ee5\u662f\u8109\u51b2\u4f7f\u80fd\uff0c\u4f5c\u4e3a\u8109\u51b2\u4f7f\u80fd\u4f7f\u7528\u7684\u65f6\u5019\uff0c\u9700\u8981\u5728\u6570\u636e\u6765\u4e34\u4e4b\u540e\u7684\u4e00\u4e2a\u65f6\u949f\u5468\u671f\u7ed9\u51fa\u4f7f\u80fd\uff08\u6211\u7684\u6a21\u5757\u662f\u8fd9\u6837\u7684\u7279\u70b9\uff09\uff0c\u7535\u5e73\u4f7f\u80fd\u6709\u6548\u65f6\uff0c\u9700\u898118\u4e2a\u65f6\u949f\u5468\u671f\u5b8c\u6210\u8f6c\u6362\uff0c\u8f93\u5165\u4e8c\u8fdb\u5236\u4f4d16bit\uff0c\u8f93\u51fa\u4e3a\u56db\u7ec4\u5343\u767e\u5341\u4e2a\u4f4d\u3002\u8f6c\u6362\u5b8c\u6210\u540e\u8f93\u51fa\u4e00\u4e2a\u8f6c\u6362\u5b8c\u6210\u6807\u5fd7tran_done\u3002<\/p> \n

\"\" <\/p> \n

       \u5185\u90e8\u7684\u65f6\u5e8f\u6211\u91c7\u7528\u4e86\u4e09\u6bb5\u5f0f\u72b6\u6001\u673a\u6765\u5b8c\u6210\u3002IDLE\u72b6\u6001\u63a5\u6536\u5230\u4f7f\u80fd\u4fe1\u53f7\uff0c\u8c03\u5230\u79fb\u4f4d\u72b6\u6001\uff0c\u7ecf\u8fc716\u6b21\u79fb\u4f4d\u3002\u5728shift_cnt\u4e3a17\u65f6\uff08\u8fd9\u91cc\u662f\u56e0\u4e3a\u6211\u72b6\u6001\u673a\u7684\u539f\u7406\u6240\u4ee5shift_cnt\u4f1a\u8ba1\u6570\u523017\uff0c\u4f46\u79fb\u4f4d\u6b21\u6570\u4e3a16\uff09\uff0c\u6570\u636e\u8f6c\u6362\u5b8c\u6210\u3002\u8df3\u5230DONE\u72b6\u6001\uff0c\u8f93\u51fa\u8f6c\u6362\u5b8c\u6210\u6807\u5fd7\u3002<\/p> \n

\"\" <\/p> \n

       \u91c7\u7528\u7ec4\u5408\u903b\u8f91\u6765\u5b9e\u73b0\uff0c\u79fb\u4f4d\u540e\u7684\u6570\u636e\u503c\u7684\u5224\u65ad\uff0c\u5927\u4e8e4\u52a03\u540e\u518d\u8fdb\u884c\u79fb\u4f4d\u3002\u6700\u540e\u5c06\u8f6c\u6362\u5b8c\u6210\u540e\u7684\u7ed3\u679c\u8f93\u51fa\u3002<\/p> \n

\"\"<\/p> \n

\u4ee3\u7801\u5982\u4e0b\uff1a<\/p> \n

\n \"\"\n \"\" \n
\n
  1<\/span> `timescale      1ns\/1ps\r\n<\/span>  2<\/span> \/\/<\/span> *********************************************************************************\r\n<\/span>  3<\/span> \/\/<\/span> Project Name :       \r\n<\/span>  4<\/span> \/\/<\/span> Author       : NingHeChuan\r\n<\/span>  5<\/span> \/\/<\/span> Email        : ninghechuan@foxmail.com\r\n<\/span>  6<\/span> \/\/<\/span> Blogs        : <\/span>http:\/\/www.cnblogs.com\/ninghechuan\/<\/span>\r\n  7<\/span> \/\/<\/span> File Name    : Bin_BCD.v\r\n<\/span>  8<\/span> \/\/<\/span> Module Name  :\r\n<\/span>  9<\/span> \/\/<\/span> Called By    :\r\n<\/span> 10<\/span> \/\/<\/span> Abstract     :\r\n<\/span> 11<\/span> \/\/<\/span>\r\n 12<\/span> \/\/<\/span> CopyRight(c) 2018, NingHeChuan Studio.. \r\n<\/span> 13<\/span> \/\/<\/span> All Rights Reserved\r\n<\/span> 14<\/span> \/\/<\/span>\r\n 15<\/span> \/\/<\/span> *********************************************************************************\r\n<\/span> 16<\/span> \/\/<\/span> Modification History:\r\n<\/span> 17<\/span> \/\/<\/span> Date         By              Version                 Change Description\r\n<\/span> 18<\/span> \/\/<\/span> -----------------------------------------------------------------------\r\n<\/span> 19<\/span> \/\/<\/span> 2018\/8\/12    NingHeChuan       1.0                     Original\r\n<\/span> 20<\/span> \/\/<\/span>  \r\n 21<\/span> \/\/<\/span> *********************************************************************************<\/span>\r\n 22<\/span> \r\n 23<\/span> module<\/span> Bin_BCD\r\n<\/span> 24<\/span> #(\r\n<\/span> 25<\/span>     parameter<\/span>       DATA_WIDTH  =   16<\/span>,\r\n<\/span> 26<\/span>     parameter<\/span>       SHIFT_WIDTH =   5<\/span>,\r\n<\/span> 27<\/span>     parameter<\/span>       SHIFT_DEPTH =   16<\/span>\r\n 28<\/span>     \r\n 29<\/span> )\r\n<\/span> 30<\/span> (\r\n<\/span> 31<\/span>     input<\/span>               clk,\r\n<\/span> 32<\/span>     input<\/span>               rst_n,\r\n<\/span> 33<\/span>     input<\/span>               tran_en,\r\n<\/span> 34<\/span>     input<\/span>       [DATA_WIDTH - 1<\/span>:0<\/span>]  data_in,\r\n<\/span> 35<\/span>     output<\/span>   reg<\/span>        tran_done,\r\n<\/span> 36<\/span>     output<\/span>      [3<\/span>:0<\/span>]   thou_data,      \/\/<\/span>\u5343\u4f4d<\/span>\r\n 37<\/span>     output<\/span>        [3<\/span>:0<\/span>]    hund_data,      \/\/<\/span>\u767e\u4f4d<\/span>\r\n 38<\/span>     output<\/span>        [3<\/span>:0<\/span>]    tens_data,      \/\/<\/span>\u5341\u4f4d<\/span>\r\n 39<\/span>     output<\/span>        [3<\/span>:0<\/span>]    unit_data       \/\/<\/span>\u4e2a\u4f4d<\/span>\r\n 40<\/span> \r\n 41<\/span> );\r\n<\/span> 42<\/span> \/\/<\/span>-------------------------------------------------------<\/span>\r\n 43<\/span> localparam<\/span>  IDLE    =   3<\/span>'<\/span>b001;<\/span>\r\n 44<\/span> localparam<\/span>   SHIFT   =   3<\/span>'<\/span>b010;<\/span>\r\n 45<\/span> localparam<\/span>   DONE    =   3<\/span>'<\/span>b100;<\/span>\r\n 46<\/span> \r\n 47<\/span> \/\/<\/span>-------------------------------------------------------<\/span>\r\n 48<\/span> reg<\/span>     [2<\/span>:0<\/span>]   pre_state;\r\n<\/span> 49<\/span> reg<\/span>     [2<\/span>:0<\/span>]   next_state;\r\n<\/span> 50<\/span> \/\/\r\n<\/span> 51<\/span> reg<\/span>     [SHIFT_DEPTH-1<\/span>:0<\/span>]   shift_cnt;\r\n<\/span> 52<\/span> \/\/\r\n<\/span> 53<\/span> reg<\/span>     [DATA_WIDTH:0<\/span>]  data_reg;\r\n<\/span> 54<\/span> reg<\/span>     [3<\/span>:0<\/span>]   thou_reg;\r\n<\/span> 55<\/span> reg<\/span>        [3<\/span>:0<\/span>]    hund_reg;\r\n<\/span> 56<\/span> reg<\/span>        [3<\/span>:0<\/span>]    tens_reg;\r\n<\/span> 57<\/span> reg<\/span>        [3<\/span>:0<\/span>]    unit_reg; \r\n<\/span> 58<\/span> reg<\/span>     [3<\/span>:0<\/span>]   thou_out;\r\n<\/span> 59<\/span> reg<\/span>        [3<\/span>:0<\/span>]    hund_out;\r\n<\/span> 60<\/span> reg<\/span>        [3<\/span>:0<\/span>]    tens_out;\r\n<\/span> 61<\/span> reg<\/span>        [3<\/span>:0<\/span>]    unit_out; \r\n<\/span> 62<\/span> wire<\/span>    [3<\/span>:0<\/span>]   thou_tmp;\r\n<\/span> 63<\/span> wire<\/span>    [3<\/span>:0<\/span>]    hund_tmp;\r\n<\/span> 64<\/span> wire<\/span>    [3<\/span>:0<\/span>]    tens_tmp;\r\n<\/span> 65<\/span> wire<\/span>    [3<\/span>:0<\/span>]    unit_tmp;\r\n<\/span> 66<\/span> \r\n 67<\/span> \/\/<\/span>--------------------------------","orderid":"0","title":"\u57fa\u4e8eVerilog HDL\u7684\u4e8c\u8fdb\u5236\u8f6cBCD\u7801\u5b9e\u73b0(\u4e00)","smalltitle":"","mid":"0","fname":"Verilog","special_id":"0","bak_id":"0","info":"0","hits":"152","pages":"2","comments":"0","posttime":"2019-08-24 00:06:50","list":"1566576410","username":"admin","author":"","copyfrom":"","copyfromurl":"","titlecolor":"","fonttype":"0","titleicon":"0","picurl":"https:\/\/www.cppentry.com\/upload_files\/","ispic":"0","yz":"1","yzer":"","yztime":"0","levels":"0","levelstime":"0","keywords":"\u57fa\u4e8e<\/A> Verilog<\/A> HDL<\/A> \u4e8c\u8fdb\u5236<\/A> BCD<\/A> \u5b9e\u73b0<\/A>","jumpurl":"","iframeurl":"","style":"","template":"a:3:{s:4:\"head\";s:0:\"\";s:4:\"foot\";s:0:\"\";s:8:\"bencandy\";s:0:\"\";}","target":"0","ip":"120.229.33.201","lastfid":"0","money":"0","buyuser":"","passwd":"","allowdown":"","allowview":"","editer":"","edittime":"0","begintime":"0","endtime":"0","description":"\u57fa\u4e8eVerilog HDL\u7684\u4e8c\u8fdb\u5236\u8f6cBCD\u7801\u5b9e\u73b0","lastview":"1714137431","digg_num":"0","digg_time":"0","forbidcomment":"0","ifvote":"0","heart":"","htmlname":"","city_id":"0"},"page":"1"}