{"rsdb":{"rid":"318996","subhead":"","postdate":"0","aid":"230567","fid":"92","uid":"1","topic":"1","content":"
\n

\u76ee\u6807\u53cd\u5c04\u56de\u6ce2\u68c0\u6d4b\u7b97\u6cd5\u53ca\u5176FPGA\u5b9e\u73b0<\/strong>\u4e4b\u4e09\uff1a<\/strong><\/span><\/p> \n

\u5e73\u65b9\u3001\u79ef\u5206\u7535\u8def\u53ca\u7b97\u6cd5\u7684\u9876\u5c42\u5b9e\u73b0<\/strong><\/span><\/p> \n

    \u524d\u6bb5\u65f6\u95f4\uff0c\u63a5\u89e6\u4e86\u4e00\u4e2a\u58f0\u5450\u76ee\u6807\u53cd\u5c04\u56de\u6ce2\u68c0\u6d4b\u7684\u9879\u76ee\u3002\u58f0\u5450\u63a5\u6536\u673a\u8981\u5b9e\u73b0\u7684\u6838\u5fc3\u529f\u80fd\u662f\u5728\u542b\u6709\u5927\u91cf\u566a\u58f0\u7684\u53cd\u5c04\u56de\u6ce2\u4e2d\uff0c\u8bc6\u522b\u51fa\u53d1\u5c04\u673a\u53d1\u51fa\u7684\u6fc0\u52b1\u4fe1\u53f7\u7684\u56de\u6ce2\u3002\u6211\u4f1a\u5206\u51e0\u7bc7\u6587\u7ae0\u5206\u4eab\u8fd9\u4e2a\u57fa\u4e8eFPGA\u7684\u56de\u6ce2\u8bc6\u522b\u7b97\u6cd5\u7684\u5f00\u53d1\u8fc7\u7a0b\u548c\u539f\u7801\uff0c\u6b22\u8fce\u5927\u5bb6\u4e0d\u541d\u8d50\u6559\u3002\u4ee5\u4e0b\u539f\u521b\u5185\u5bb9\u6b22\u8fce\u7f51\u53cb\u8f6c\u8f7d\uff0c\u4f46\u8bf7\u6ce8\u660e\u51fa\u5904\uff1a https:\/\/www.cnblogs.com\/helesheng<\/a>\u3002<\/p> \n

    \u5728\u672c\u7cfb\u5217\u535a\u6587\u7684\u7b2c\u4e00\u7bc7\u4e2d\uff0c\u6839\u636e\u4eff\u771f\u7ed3\u679c\uff0c\u6211\u8ba4\u4e3a\u91c7\u7528\u201c\u53cd\u5c04\u56de\u6ce2\u548c\u6fc0\u52b1\u4fe1\u53f7\u4e92\u76f8\u5173\u201d\u7684\u7ed3\u679c\u6765\u8ba1\u7b97\u76ee\u6807\u8ddd\u79bb\u7684\u65b9\u6cd5\u5177\u6709\u8f83\u9ad8\u6027\u80fd\u548c\u8ba1\u7b97\u6548\u7387\u3002\u5728\u672c\u7cfb\u5217\u7684\u7b2c\u4e8c\u7bc7\u535a\u6587\u4e2d\uff0c\u6211\u5728Cyclone\u7cfb\u5217\u7684\u4f4e\u6210\u672cFPGA\u4e2d\u91c7\u7528\u534a\u5e76\u884c\u7684\u201c\u53cc\u5b58\u50a8\u5668\u5f0f\u7684\u5377\u79ef\u8282\u201d\u7ed3\u6784\u5b9e\u73b0\u4e86\u6570\u636e\u7684\u4e92\u76f8\u5173\/\u5377\u79ef\/FIR\u6ee4\u6ce2\u5668\u8ba1\u7b97\u3002\u4f5c\u4e3a\u672c\u7cfb\u5217\u7684\u7b2c\u4e09\u7bc7\u535a\u6587\uff0c\u6211\u5c06\u5b9e\u73b0\u4e92\u76f8\u5173\u4fe1\u53f7\u7684\u5e73\u65b9\u548c\u79ef\u5206\u8ba1\u7b97\uff0c\u5e76\u5c06\u6240\u6709\u7b97\u6cd5\u5728\u9876\u5c42\u6587\u4ef6\u4e2d\u7ed3\u5408\u4e3a\u4e00\u4e2a\u6574\u4f53\u3002
<\/strong><\/span><\/p> \n

\"\"<\/p> \n

    \u4ece\u800c\u901a\u8fc7\u5bfb\u627e \"\"\u7684\u6781\u503c\u70b9\u6240\u5728\u4f4d\u7f6e\u6765\u786e\u5b9a\u76ee\u6807\u53cd\u5c04\u56de\u6ce2\u51fa\u73b0\u7684\u65f6\u95f4\u70b9\u3002<\/p> \n

   \uff081\uff09\u5f0f\u4e2d\u7684\"\"\u662f\u4e92\u76f8\u5173\u7b97\u6cd5\u90e8\u5206\uff0c\u5176FPGA\u5b9e\u73b0\u5df2\u5728\u524d\u6587\u4e2d\u4ecb\u7ecd\u8fc7\u3002\u6839\u636e\u524d\u6587\u5b9a\u4e49\u7684\u7b26\u53f7\uff0c\u5c06\u79bb\u6563\u5316\u540e\u7684\u4e92\u76f8\u5173\u4fe1\u53f7\u8bb0\u4e3aR[k]\u3002\u8fdb\u4e00\u6b65\u79bb\u6563\u5316\u540e\u53ef\u5c06\uff081\uff09\u6539\u5199\u4e3a\u5982\u4e0bFPGA\u80fd\u591f\u5b9e\u73b0\u7684\u5f62\u5f0f\uff1a<\/p> \n

\"\"<\/p> \n

\u4e00\u3001\u5e73\u65b9\u7535\u8def\u7684\u5b9e\u73b0<\/strong><\/p> \n

\u4f7f\u7528Quartus-II\u4e2d\u7684MegaWizard\u914d\u7f6e\u5e73\u65b9\u8ba1\u7b97\u7535\u8def\uff0c\u5176\u7ed3\u6784\u5982\u4e0b\u56fe\u6240\u793a\u3002<\/p> \n

 \"\"<\/p> \n

\u56fe1 \u5e73\u65b9\u7535\u8def\u914d\u7f6e<\/p> \n

\u4e8c\u3001\u79ef\u5206\u7535\u8def\u8bbe\u8ba1<\/strong><\/p> \n

    \u6839\u636e\uff082\uff09\u5f0f\uff0c\u8981\u8ba1\u7b97\u76ee\u6807\u51fd\u6570P[n]\u7684\u503c\uff0c\u8fd8\u9700\u8981\u5bf9\u5386\u53f2\u4e0a\u7684 \u503c\u6c42\u548c\uff08\u79ef\u5206\uff09\u3002\u6211\u4eec\u5f53\u7136\u53ef\u4ee5\u7528\u7f13\u51b2\u5668\u5b58\u50a8\u5386\u53f2\u4e0a\u7684k0<\/sub>\u4e2a \u503c\uff0c\u5e76\u5728\u6bcf\u6b21\u7ed3\u679c\u8f93\u51fa\u4e4b\u524d\u5bf9\u7f13\u51b2\u5668\u4e2d\u7684k0<\/sub>\u4e2a\u503c\u6c42\u548c\u3002\u5982\u679c\u8ba9k0<\/sub>\u7b49\u4e8e\u6fc0\u52b1\u4fe1\u53f7\u7684\u957f\u5ea6N\uff0c\u5219\u6bcf\u6b21\u8f93\u51faP[n]\u4e4b\u524d\u90fd\u9700\u8981\u8ba1\u7b97N-1\u4e2a\u52a0\u6cd5\u3002\u5f53N\u4e3a64\u65f6\uff08\u5982\u524d\u6587\u6240\u8ff0\uff09\uff0c\u8fd9\u51e0\u4e4e\u662f\u4e0d\u53ef\u5b8c\u6210\u7684\u4efb\u52a1\u3002\u6211\u8bbe\u8ba1\u4e86\u4e0b\u56fe\u6240\u793a\u7684\u7535\u8def\u7ed3\u6784\u6765\u5b9e\u73b064\u4e2a\u5386\u53f2\u6570\u636e\u7684\u6c42\u548c\u3002<\/p> \n

\"\"<\/p> \n

\u56fe2 \u79ef\u5206\u5668\u7535\u8def\u7ed3\u6784<\/p> \n

     \u5176\u4e2d\u4e00\u4f4d\u6df1\u5ea6\u4e3a64\u7684\u79fb\u4f4d\u5bc4\u5b58\u5668\u7684\u4f5c\u7528\u662f\u63d0\u4f9b64\u4e2a\u91c7\u6837\u4e4b\u524d\u7684\u201c\u5386\u53f2\u6570\u636e\u201d\u3002\u9996\u5148\u5bf9\u5f53\u524d\u6570\u636e\"\"\u548c\u6700\u8001\u7684\u5386\u53f2\u6570\u636e\"\"\u6c42\u5dee\uff08\u8865\u7801\uff09\uff0c\u518d\u5bf9\u5dee\u4e0d\u65ad\u6c42\u548c\u3002\u8fd9\u6837\u6839\u636e\u52a0\u6cd5\u4ea4\u6362\u5f8b\uff0c\u6700\u7ec8\u6c42\u548c\u7ed3\u679c\u5c31\u76f8\u5f53\u4e8e\u52a0\u5165\u4e86\u5f53\u524d\u6570\u636e\uff0c\u51cf\u53bb\u4e86\u6700\u8001\u7684\u5386\u53f2\u6570\u636e\u3002\u53ea\u8981\u79fb\u4f4d\u5bc4\u5b58\u5668\u7684\u521d\u503c\u5168\u4e3a0\uff0c\u5728\u8fdb\u884c\u4e8664\u6b21\u64cd\u4f5c\u540e\uff0c\u4ece\u8f93\u51fa\u5f97\u5230\u7684\u5c31\u662f64\u4e2a\u5386\u53f2\u6570\u636e\u7684\u548c\uff08\u79ef\u5206\uff09\u4e86\u3002\u5176\u4e2d\u7528MegaWizard\u914d\u7f6e\u7684\u79fb\u4f4d\u5bc4\u5b58\u5668\u7ed3\u6784\u5982\u4e0b\u56fe\u6240\u793a\u3002<\/p> \n

 \"\"<\/p> \n

\u56fe3 \u79fb\u4f4d\u5bc4\u5b58\u5668\u7684\u7ed3\u6784<\/p> \n

    \u524d\u7ea7\u51cf\u6cd5\u5668\u7684\u7ed3\u6784\u5982\u4e0b\u56fe\u6240\u793a\u3002<\/p> \n

 \"\"<\/p> \n

\u56fe4 \u51cf\u6cd5\u5668\u7684\u7ed3\u6784<\/p> \n

    \u7d2f\u52a0\u5668\u91c7\u7528\u786c\u4ef6\u63cf\u8ff0\u8bed\u8a00\u5b9e\u73b0\uff0c\u4ee3\u7801\u5982\u4e0b\u3002 <\/p> \n

\n \"\"\n \"\" \n
\n
1<\/span> always<\/span> @ (posedge<\/span> start or<\/span> negedge<\/span> rst_n)\r\n<\/span>2<\/span> begin<\/span>\r\n3<\/span>     if<\/span>(!rst_n)\r\n<\/span>4<\/span>         acc_out[39<\/span>:0<\/span>] <= 40<\/span>'<\/span>d0;<\/span>\r\n5<\/span>     else<\/span> begin<\/span>\r\n6<\/span>         acc_out[39<\/span>:0<\/span>] = $signed<\/span>(acc_out[39<\/span>:0<\/span>]) + $signed<\/span>(acc_in[31<\/span>:0<\/span>]);\r\n<\/span>7<\/span>     end<\/span>\r\n8<\/span> end<\/span>    <\/pre> \n  <\/div> \n  View Code<\/span>\n <\/div> \n 

    \u5176\u4e2d\u7684\u5173\u952e\u5b57$signed\u8868\u793a\u6709\u7b26\u53f7\u6570\u7684\u52a0\u6cd5\u5668\u3002<\/p> \n

\u4e09\u3001\u7b97\u6cd5\u7684\u9876\u5c42\u8bbe\u8ba1<\/strong><\/p> \n

    \u4e3a\u4e86\u5c06\u524d\u8ff0\u7684A\/D\u548cD\/A\u53e3\u63a7\u5236\u7535\u8def\u3001\u4e92\u76f8\u5173\/\u5377\u79ef\/FIR\u6ee4\u6ce2\u7535\u8def\u3001\u5e73\u65b9\u548c\u79ef\u5206\u7535\u8def\u8fde\u63a5\u4e3a\u4e00\u4e2a\u7cfb\u7edf\uff0c\u8fd8\u9700\u8981\u5728\u9876\u5c42\u8bbe\u8ba1\u6587\u4ef6\u4e2d\u5bf9\u4e0a\u8ff0\u6a21\u5757\u7535\u8def\u8fdb\u884c\u4f8b\u5316\u548c\u8fde\u63a5\u3002\u53e6\u5916\u9876\u5c42\u8bbe\u8ba1\u6587\u4ef6\u8fd8\u5c06\u5bf9\u7cfb\u7edf\u7684\u6574\u4f53\u5de5\u4f5c\u65f6\u5e8f\u8fdb\u884c\u63a7\u5236\u3002\u6211\u8bbe\u8ba1\u7684\u9876\u5c42\u6587\u4ef6\u5982\u4e0b\u6240\u793a\u3002 <\/p> \n

\n \"\"\n \"\" \n
\n
  1<\/span> module<\/span> CONV_POW_AD_DA(\r\n<\/span>  2<\/span> \/\/\/\/\/\/\/\/\/<\/span>\/\/\u9876\u5c42\u6a21\u5757\uff0c\u8d1f\u8d23\u8c03\u7528ADC\u91c7\u96c6\u6570\u636e\uff0c\u5377\u79ef\uff0c\u7136\u540e\u7528DAC\u8f93\u51fa\u5377\u79ef\/FIR\u7684\u7ed3\u679c<\/span>\/\/\/\/\/\/\/\/\/\r\n<\/span>  3<\/span>     input<\/span> rst_n,\/\/<\/span>\u4f4e\u7535\u5e73\u590d\u4f4d\u4fe1\u53f7<\/span>\r\n  4<\/span>     input<\/span> iclk20,\/\/<\/span>\u5916\u90e8\u6676\u4f53\u8f93\u5165\u768420MHz<\/span>\r\n  5<\/span>     output<\/span> sck_da,\/\/<\/span>D\/A\u8f6c\u6362\u5668\u7684SPI\u65f6\u949f<\/span>\r\n  6<\/span>     output<\/span> mosi_da,\/\/<\/span>D\/A\u8f6c\u6362\u5668\u7684SPI\u6570\u636e\u4fe1\u53f7<\/span>\r\n  7<\/span>     output<\/span> cs_da,\/\/<\/span>D\/A\u8f6c\u6362\u5668\u7684\u7247\u9009\u4fe1\u53f7<\/span>\r\n  8<\/span>     output<\/span> ld_da,\/\/<\/span>D\/A\u8f6c\u6362\u5668\u7684\u53cc\u901a\u9053\u6570\u636e\u52a0\u8f7d\u4fe1\u53f7<\/span>\r\n  9<\/span>     output<\/span> sck_ad,\/\/<\/span>A\/D\u8f6c\u6362\u5668\u7684SPI\u65f6\u949f<\/span>\r\n 10<\/span>     output<\/span> mosi_ad,\/\/<\/span>A\/D\u8f6c\u6362\u5668\u7684SPI\u6570\u636e\u8f93\u51fa<\/span>\r\n 11<\/span>     input<\/span> miso_ad,\/\/\/<\/span>\/A\/D\u8f6c\u6362\u5668\u7684SPI\u6570\u636e\u8f93\u5165<\/span>\r\n 12<\/span>     output<\/span> cs_ad\/\/<\/span>A\/D\u8f6c\u6362\u5668\u7684SPI\u53e3\u7247\u9009\u4fe1\u53f7<\/span>\r\n 13<\/span>     );\r\n<\/span> 14<\/span>      wire<\/span> clk;\r\n<\/span> 15<\/span>   reg<\/span> start;\r\n<\/span> 16<\/span>   reg<\/span>[15<\/span>:0<\/span>] cnt;\/\/<\/span>\u7528\u4e8e\u4ea7\u751f\u603b\u4f53\u5468\u671f\u7684\u8ba1\u65f6\u5668<\/span>\r\n 17<\/span>      reg<\/span>[11<\/span>:0<\/span>] tst_data;\/\/<\/span>\u7528\u4e8e\u4ea7\u751f\u6d4b\u8bd5\u6570\u636e\u7684\u8ba1\u6570\u5668<\/span>\r\n 18<\/span>   parameter<\/span> CNT_NUM = 16<\/span>'<\/span>d2000;\/\/100M\u65f6\u949f\u4e0b\uff0c2000\u5206\u9891\u610f\u5473\u774050KHz\u6ea2\u51fa\u7387<\/span>\r\n 19<\/span>   wire<\/span>[11<\/span>:0<\/span>] ad_data;\/\/<\/span>AD\u8f6c\u6362\u7ed3\u679c\u7684\u5185\u90e8\u8fde\u7ebf<\/span>\r\n 20<\/span>   wire<\/span>[11<\/span>:0<\/span>] data_cha;\/\/<\/span>A\u901a\u9053\u6570\u636e<\/span>\r\n 21<\/span>   wire<\/span>[11<\/span>:0<\/span>] data_chb;\/\/<\/span>B\u901a\u9053\u6570\u636e<\/span>\r\n 22<\/span>      wire<\/span>[15<\/span>:0<\/span>] shft_data1;\/\/<\/span>\u5728\u5377\u79ef\u7684\u4e24\u4e2a\u8282\u4e4b\u95f4\u4f20\u9012\u7684\u6570\u636e<\/span>\r\n 23<\/span>      wire<\/span>[15<\/span>:0<\/span>] shft_data2;\/\/<\/span>\u5728\u5377\u79ef\u7684\u4e24\u4e2a\u8282\u4e4b\u95f4\u4f20\u9012\u7684\u6570\u636e<\/span>\r\n 24<\/span>      wire<\/span>[15<\/span>:0<\/span>] shft_data3;\/\/<\/span>\u5728\u5377\u79ef\u7684\u4e24\u4e2a\u8282\u4e4b\u95f4\u4f20\u9012\u7684\u6570\u636e<\/span>\r\n 25<\/span>      wire<\/span>[15<\/span>:0<\/span>] shft_data4;\/\/<\/span>\u5728\u5377\u79ef\u7684\u4e24\u4e2a\u8282\u4e4b\u95f4\u4f20\u9012\u7684\u6570\u636e<\/span>\r\n 26<\/span>      wire<\/span>[39<\/span>:0<\/span>] conv_res1;\/\/<\/span>\u7b2c\u4e00\u8282\u7684\u5377\u79ef\u7684\u7ed3\u679c<\/span>\r\n 27<\/span>      wire<\/span>[39<\/span>:0<\/span>] conv_res2;\/\/<\/span>\u7b2c2\u8282\u7684\u5377\u79ef\u7684\u7ed3\u679c<\/span>\r\n 28<\/span>      wire<\/span>[39<\/span>:0<\/span>] conv_res3;\/\/<\/span>\u7b2c3\u8282\u7684\u5377\u79ef\u7684\u7ed3\u679c<\/span>\r\n 29<\/span>      wire<\/span>[39<\/span>:0<\/span>] conv_res4;\/\/<\/span>\u7b2c4\u8282\u7684\u5377\u79ef\u7684\u7ed3\u679c<\/span>\r\n 30<\/span>      wire<\/span>[39<\/span>:0<\/span>] conv_res;\/\/<\/span>\u603b\u7684\u5377\u79ef\u7684\u7ed3\u679c<\/span>\r\n 31<\/span>      wire<\/span>[33<\/span>:0<\/span>] acc_sum;\/\/<\/span>\u6700\u540e\u8ba1\u7b97\u6bcf\u4e00\u8282\u7684\u7d2f\u52a0\u548c\u7684\u7ed3\u679c<\/span>\r\n 32<\/span>      wire<\/span>[31<\/span>:0<\/span>] shiftout;\/\/<\/span>\u79fb\u4f4d\u5bc4\u5b58\u5668\u7684\u79fb\u51fa\u6570\u636e<\/span>\r\n 33<\/span>      wire<\/span>[15<\/span>:0<\/span>] ac_sig;\/\/<\/span>\u53bb\u9664\u76f4\u6d41\u504f\u7f6e\u540e\u7684\u4ea4\u6d41\u4fe1\u53f7<\/span>\r\n 34<\/span>      wire<\/span>[31<\/span>:0<\/span>] pow_sig;\/\/<\/span>\u4fe1\u53f7\u7684\u80fd\u91cf<\/span>\r\n 35<\/span>      wire<\/span> signed<\/span>[31<\/span>:0<\/span>] acc_in;\/\/<\/span>\u6c42\u548c\u7d2f\u52a0\u5668\u7684\u8f93\u5165\uff0c\u4e5f\u662f\u52a0\u6cd5\/\u51cf\u6cd5\u5668\u7684\u8f93\u51fa<\/span>\r\n 36<\/span>      reg<\/span> signed<\/span>[39<\/span>:0<\/span>] acc_out;\/\/<\/span>\u7d2f\u52a0\u5668\u8f93\u51fa\u7684\u7ed3\u679c<\/span>\r\n 37<\/span>      wire<\/span> signed<\/span>[19<\/span>:0<\/span>] data_out;\/\/<\/span>\u7d2f\u52a0\u7ed3\u679c\u5f00\u65b9\u540e\u7684\u8f93\u51fa\r\n<\/span> 38<\/span>      \r\n 39<\/span>      \/\/<\/span>assign data_cha[11:0] = acc_out[25:14];<\/span>\/\/<\/span>\u901a\u9053a\u8f93\u51fa64\u4e2a\u70b9\u80fd\u91cf\u7d2f\u52a0\u7ed3\u679c\r\n<\/span> 40<\/span>      \/\/<\/span>assign data_cha[11:0] = shiftout[18:7];<\/span>\/\/<\/span>\u901a\u9053a\u8f93\u51fa\u7684\u6570\u636e<\/span>\r\n 41<\/span>      assign<\/span> data_cha[11<\/span>:0<\/span>] = pow_sig[19<\/span>:8<\/span>];\/\/<\/span>\u901a\u9053a\u8f93\u51fa\u4ea4\u6d41\u4fe1\u53f7\u7684\u5e73\u65b9\r\n<\/span> 42<\/span>      \/\/<\/span>assign data_cha[11:0] = ad_data[11:0];<\/span>\/\/<\/span>\u901a\u9053a\u8f93\u51fa\u7684\u6570\u636e\r\n<\/span> 43<\/span>      \/\/<\/span>assign data_cha[11:0] = shft_data","orderid":"0","title":"\u76ee\u6807\u53cd\u5c04\u56de\u6ce2\u68c0\u6d4b\u7b97\u6cd5\u53ca\u5176FPGA\u5b9e\u73b0 \u4e4b\u4e09\uff1a\u5e73\u65b9\u3001\u79ef\u5206\u7535\u8def\u53ca\u7b97\u6cd5\u7684\u9876\u5c42\u5b9e\u73b0(\u4e00)","smalltitle":"","mid":"0","fname":"Verilog","special_id":"0","bak_id":"0","info":"0","hits":"193","pages":"4","comments":"0","posttime":"2019-08-24 00:06:55","list":"1566576415","username":"admin","author":"","copyfrom":"","copyfromurl":"","titlecolor":"","fonttype":"0","titleicon":"0","picurl":"https:\/\/www.cppentry.com\/upload_files\/","ispic":"0","yz":"1","yzer":"","yztime":"0","levels":"0","levelstime":"0","keywords":"\u76ee\u6807<\/A> \u53cd\u5c04<\/A> \u68c0\u6d4b<\/A> \u7b97\u6cd5<\/A> \u53ca\u5176<\/A> FPGA<\/A> \u5b9e\u73b0<\/A> \u4e4b\u4e09<\/A> \u5e73\u65b9<\/A> \u79ef\u5206<\/A> \u7535\u8def<\/A> \u9876\u5c42<\/A>","jumpurl":"","iframeurl":"","style":"","template":"a:3:{s:4:\"head\";s:0:\"\";s:4:\"foot\";s:0:\"\";s:8:\"bencandy\";s:0:\"\";}","target":"0","ip":"120.229.33.201","lastfid":"0","money":"0","buyuser":"","passwd":"","allowdown":"","allowview":"","editer":"","edittime":"0","begintime":"0","endtime":"0","description":"\u76ee\u6807\u53cd\u5c04\u56de\u6ce2\u68c0\u6d4b\u7b97\u6cd5\u53ca\u5176FPGA\u5b9e\u73b0 \u4e4b\u4e09\uff1a\u5e73\u65b9\u3001\u79ef\u5206\u7535\u8def\u53ca\u7b97\u6cd5\u7684\u9876\u5c42\u5b9e\u73b0","lastview":"1713878199","digg_num":"0","digg_time":"0","forbidcomment":"0","ifvote":"0","heart":"","htmlname":"","city_id":"0"},"page":"1"}