设为首页 加入收藏

TOP

「数字IC设计项目」 —— AHB SRAM控制器设计 & March C-算法内建自测试的实现(五)
2023-07-23 13:25:06 】 浏览:283
Tags:数字 计项目 AHB SRAM March
0] iSRAM_CSN_BANK0, input [3:0] iSRAM_CSN_BANK1, input [31:0] iSRAM_WDATA , // To sram_interface output [7:0] oSRAM0_q, output [7:0] oSRAM1_q, output [7:0] oSRAM2_q, output [7:0] oSRAM3_q, output [7:0] oSRAM4_q, output [7:0] oSRAM5_q, output [7:0] oSRAM6_q, output [7:0] oSRAM7_q, // BIST Signals input iBIST_en, output oBIST_done, output oBIST_fail ); /*————————————————————————————————————————————————————————————————————————*\ / BIST Ouput Logic \ \*————————————————————————————————————————————————————————————————————————*/ wire BIST_done_0; assign oBIST_done = BIST_done_0 && BIST_done_1 && BIST_done_2 && BIST_done_3 && BIST_done_4 && BIST_done_5 && BIST_done_6 && BIST_done_7; // done if every sram_bist dones assign oBIST_fail = BIST_done_0 || BIST_done_1 || BIST_done_2 || BIST_done_3 || BIST_done_4 || BIST_done_5 || BIST_done_6 || BIST_done_7; // fail if any sram_bist fails /*————————————————————————————————————————————————————————————————————————*\ / BANK 0 Instantiation \ \*————————————————————————————————————————————————————————————————————————*/ sram_bist u_bank0_sram0 ( // Function Mode IO .iSRAM_CLK (iHCLK ), .iSRAM_CSN (iSRAM_CSN_BANK0[0]), .iSRAM_WEN (iSRAM_WEN_BANK0 ), .iSRAM_ADDR (iSRAM_ADDR ), //13 bits SRAM ADDR .iSRAM_WDATA(iSRAM_WDATA[7:0] ), .oSRAM_RDATA(oSRAM0_q ), // Test Mode IO .iBIST_en (iBIST_en ), .oBIST_done (BIST_done_0 ), .oBIST_fail (BIST_fail_0 ) ); sram_bist u_bank0_sram1 ( // Function Mode IO .iSRAM_CLK (iHCLK ), .iSRAM_CSN (iSRAM_CSN_BANK0[1]), .iSRAM_WEN (iSRAM_WEN_BANK0 ), .iSRAM_ADDR (iSRAM_ADDR ), //13 bits SRAM ADDR .iSRAM_WDATA(iSRAM_WDATA[15:8] ), .oSRAM_RDATA(oSRAM1_q ), // Test Mode IO .iBIST_en (iBIST_en ), .oBIST_done (BIST_done_1 ), .oBIST_fail (BIST_fail_1 ) ); sram_bist u_bank0_sram2 ( // Function Mode IO .iSRAM_CLK (iHCLK ), .iSRAM_CSN (iSRAM_CSN_BANK0[2]), .iSRAM_WEN (iSRAM_WEN_BANK0 ), .iSRAM_ADDR (iSRAM_ADDR ), //13 bits SRAM ADDR .iSRAM_WDATA(iSRAM_WDATA[23:16]), .oSRAM_RDATA(oSRAM2_q ), // Test Mode IO .iBIST_en (iBIST_en ), .oBIST_done (BIST_done_2 ), .oBIST_fail (BIST_fail_2 ) ); sram_bist u_bank0_sram3 ( // Function Mode IO .iSRAM_CLK (iHCLK ), .iSRAM_CSN (iSRAM_CSN_BANK0[3]), .iSRAM_WEN (iSRAM_WEN_BANK0 ), .iSRAM_ADDR (iSRAM_ADDR ), //13 bits SRAM ADDR .iSRAM_WDATA(iSRAM_WDATA[31:24]), .oSRAM_RDATA(oSRAM3_q ), // Test Mode IO .iBIST_en (iBIST_en ), .oBIST_done (BIST_done_3 ), .oBIST_fail (BIST_fail_3 ) ); /*————————————————————————————————————————————————————————————————————————*\ / BANK 1 Instantiation \ \*————————————————————————————————————————————————————————————————————————*/ sram_bist u_bank1_sram4 ( // Function Mode IO .iSRAM_CLK (iHCLK ), .iSRAM_CSN (iSRAM_CSN_BANK1[0]), .iSRAM_WEN (iSRAM_WEN_BANK1 ), .iSRAM_ADDR (iSRAM_ADDR ), //13 bits SRAM ADDR .iSRAM_WDATA(iSRAM_WDATA[7:0] ), .oSRAM_RDATA(oSRAM4_q ), // Test Mode IO .iBIST_en (iBIST_en ), .oBIST_done (BIST_done_4 ), .oBIST_fail (BIST_fail_4 ) ); sram_bist u_bank1_sram5 ( // Function Mode IO .iSRAM_CLK (iHCLK ), .iSRAM_CSN (iSRAM_CSN_BANK1[1]), .iSRAM_WEN (iSRAM_WEN_BANK1 ), .iSRAM_ADDR (iSRAM_ADDR ), //13 bits SRAM ADDR .iSRAM_WDATA(iSRAM_WDATA[15:8] ), .oSRAM_RDATA(oSRAM5_q ), // Test Mode IO .iBIST_en (i
首页 上一页 2 3 4 5 6 7 8 下一页 尾页 5/11/11
】【打印繁体】【投稿】【收藏】 【推荐】【举报】【评论】 【关闭】 【返回顶部
上一篇【牛客】7 计数器&存储器&综合 下一篇推排序 Verilog实现原理

最新文章

热门文章

Hot 文章

Python

C 语言

C++基础

大数据基础

linux编程基础

C/C++面试题目