设为首页 加入收藏

TOP

Verilog笔记——YUV2RGB的模块测试(二)
2017-10-10 12:24:33 】 浏览:6971
Tags:Verilog 笔记 YUV2RGB 模块 测试
0; ena_in = 0; #2; rstn = 0; // Wait 100 ns for global reset to finish #100; rstn = 1; // Add stimulus here end always #2 clk =~clk; endmodule View Code

 

4 step3 用MATLAB读取模块转换的RGB数据做显示。

clc;close all;clear 

filename = 'Penguins_720pRGBout.txt';
fid = fopen(filename,'r');
    rgbdat = fscanf(fid,'%x');
    rgbdat = uint8(rgbdat'); %%转换为uint8
fclose(fid);

imglen = 1280; imgwidth = 720;
len = length(rgbdat);
r = rgbdat(1:3:len);
r = reshape(r,imglen,imgwidth);
r = r';

g = rgbdat(2:3:len);
g = reshape(g,imglen,imgwidth);
g = g';

b = rgbdat(3:3:len);
b = reshape(b,imglen,imgwidth);
b = b';

rgbimg = cat(3,r,g,b);
imshow(rgbimg);

step3中rgb数据正确时显示的图片

 

首页 上一页 1 2 下一页 尾页 2/2/2
】【打印繁体】【投稿】【收藏】 【推荐】【举报】【评论】 【关闭】 【返回顶部
上一篇《FPGA全程进阶---实战演练》第四.. 下一篇第7讲 SPI和RAM IP核

最新文章

热门文章

Hot 文章

Python

C 语言

C++基础

大数据基础

linux编程基础

C/C++面试题目