设为首页 加入收藏

TOP

实测—fft IP核使用(包括ifft的配置使用)(八)
2019-08-24 00:06:31 】 浏览:426
Tags:实测 fft 使用 包括 ifft 配置
sp; .m_axis_data_tuser(m_axis_data_tuser),                      //XK_INDEX

      .event_frame_started(event_frame_started),                  // output wire event_frame_started

      .event_tlast_unexpected(event_tlast_unexpected),            // output wire event_tlast_unexpected

      .event_tlast_missing(event_tlast_missing),                  // output wire event_tlast_missing

      .event_status_channel_halt(event_status_channel_halt),      // output wire event_status_channel_halt

      .event_data_in_channel_halt(event_data_in_channel_halt),    // output wire event_data_in_channel_halt

      .event_data_out_channel_halt(event_data_out_channel_halt)  // output wire event_data_out_channel_halt

    );

   

initial begin

// Initialize Inputs

aclk = 0;

rst = 1;

s_axis_config_tvalid = 0;

s_axis_config_tdata = 0;

s_axis_data_tvalid = 0;

s_axis_data_tdata = 0;

s_axis_data_tlast = 0;

m_axis_data_tready = 0;

 

#150;

rst = 0;

 

m_axis_data_tready = 1;

s_axis_config_tvalid = 1;

s_axis_config_tdata = 1'b0;            // 配置invs  512 or 1024 points 配置unscale 情况  位宽有进位 输出位宽要对应 结果正确正确!!!!!

s_axis_data_tdata = 64'h000000;

s_axis_data_tvalid = 0;

//#10

//s_axis_config_tvalid = 0;

begin

    for(i=0;i<512;i=i+1)   begin

        #10

        s_axis_data_tvalid <= 1;

        s_axis_data_tdata <= {mem3_re[i]};

    $display("mem_a[%d] = %h", i, mem3_re[i]);

    end  

end

   assign XK_RE = m_axis_data_tdata[31:0];

   assign XK_IM = m_axis_data_tdata[79:48];

#10;

   s_axis_data_tdata = 64'h000000;

   s_axis_data_tvalid = 0;

/*   

#100;

begin

    for(i=0;i<512;i=i+1)   begin

        #10

        s_axis_data_tvalid <= 1;

        s_axis_data_tdata <= {mem2_re[i]};

    $display("mem_a[%d] = %h", i, mem2_re[i]);

    end

#10;

   s_axis_data_tdata = 64'h000000;

   s_axis_data_tvalid = 0;

end

*/

#20000 $finish;  

end

endmodule

 

 

样本文件是

0000000009333400 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

首页 上一页 5 6 7 8 9 下一页 尾页 8/9/9
】【打印繁体】【投稿】【收藏】 【推荐】【举报】【评论】 【关闭】 【返回顶部
上一篇你真的会Xilinx FPGA的复位吗? 下一篇一个有趣的异步时序逻辑电路设计..

最新文章

热门文章

Hot 文章

Python

C 语言

C++基础

大数据基础

linux编程基础

C/C++面试题目