设为首页 加入收藏

TOP

推排序 Verilog实现原理(三)
2023-07-23 13:25:06 】 浏览:131
Tags:Verilog
//需要创建对应的文件,用于填充数据 end always @(posedge clk) begin if (we ) begin data[w_addr1] <= w_data1; data[w_addr2] <= w_data2; w_ok <= 1; end else w_ok <= 0; if(re) begin r_data1 <= data[addr1]; r_data2 <= data[addr2]; r_data3 <= data[addr3]; r_ok <= 1; end else r_ok <= 0; end endmodule

激励模块

module top_tb
#(
    parameter ADDR_WIDTH = 12,
    parameter DATA_WIDTH = 8
)();
    reg clk,rst;
    wire [ADDR_WIDTH:1] addr1,addr2,addr3;    //read addr
    wire [DATA_WIDTH-1:0] data1,data2,data3;   //data
    wire we;                                  //enable write
    wire [DATA_WIDTH-1:0] w_data1,w_data2;     //write data

    initial begin
        clk <= 0;
        rst <= 1;
        #10;
        clk <= 1;
        #10;
        rst <= 0;
        forever begin
            clk <= ~clk;
            #50;
        end
    end
    top tp(clk,rst,addr1,addr2,addr3,data1,data2,data3,we,w_data1,w_data2);
endmodule

缺陷

实现过程中,最大的缺陷是排序的数不能太多,以为I/O端口有限

首页 上一页 1 2 3 下一页 尾页 3/3/3
】【打印繁体】【投稿】【收藏】 【推荐】【举报】【评论】 【关闭】 【返回顶部
上一篇「数字IC设计项目」 —— AHB SRA.. 下一篇ZYNQ基于DMA的串口传图

最新文章

热门文章

Hot 文章

Python

C 语言

C++基础

大数据基础

linux编程基础

C/C++面试题目